Todas las Noticias en Películas, Avances de Películas y Reseñas.

La prueba de PowerVia muestra un rendimiento líder en la industria

Intel es el primero en la industria en implementar la entrega de energía trasera en un chip de prueba similar a un producto, logrando el rendimiento necesario para impulsar al mundo hacia la próxima era de la informática. PowerVia, que se introducirá en el nodo de proceso Intel 20A en la primera mitad de 2024, es la solución de entrega de energía trasera de Intel líder en la industria. Resuelve el creciente problema de los cuellos de botella de interconexión en el escalado de áreas al mover el enrutamiento de energía a la parte posterior de una oblea.

(Foto: Corporación Intel)

LEA TAMBIÉN: Integración telefónica Intel Unison para conectar iOS y Android a la PC con la ayuda del chip, pero hay un problema

Cómo funciona:

Intel desacopló el desarrollo de PowerVia del desarrollo de transistores para garantizar su preparación para la implementación de silicio basada en nodos de proceso Intel 20A e Intel 18A. PowerVia se probó en su propio nodo de prueba interno para depurar y garantizar una buena funcionalidad de la tecnología antes de su integración con RibbonFET en Intel 20A. Después de la fabricación y las pruebas en un chip de prueba de silicio, se confirmó que PowerVia ofrece un uso notablemente eficiente de los recursos del chip con una utilización de celda superior al 90 % y un importante escalamiento de transistores, lo que permite a los diseñadores de chips lograr mejoras de rendimiento y eficiencia en sus productos.

Intel presentará estos hallazgos en dos artículos en el Simposio VLSI del 11 al 16 de junio en Kyoto, Japón.

Recomendado:  Llega el nuevo iPad Pro (2023) con chip M1: especificaciones, precio y fecha de lanzamiento

Por qué es importante:

PowerVia está muy por delante de las soluciones de energía trasera de la competencia, brindando a los diseñadores de chips, incluidos los clientes de Intel Foundry Services (IFS), un camino más rápido hacia valiosas ganancias de energía y rendimiento en sus productos. Intel tiene una larga trayectoria en la introducción de las nuevas tecnologías más críticas de la industria, como el silicio deformado, la puerta metálica Hi-K y FinFET, para impulsar Ley de Moore adelante. Con la tecnología integral de puerta PowerVia y RibbonFET disponible en 2024, Intel continúa liderando la industria en diseño de chips e innovación de procesos.

PowerVia es el primero en resolver el creciente problema de los cuellos de botella en la interconexión para los diseñadores de chips. Los crecientes casos de uso, incluidos la inteligencia artificial y los gráficos, requieren transistores más pequeños, más densos y más potentes para satisfacer las crecientes demandas informáticas. Hoy en día y durante las últimas décadas, las líneas de alimentación y de señal dentro de la arquitectura de un transistor han competido por los mismos recursos. Al separarlos, los chips pueden aumentar el rendimiento y la eficiencia energética y ofrecer mejores resultados a los clientes. La entrega de energía trasera es vital para el escalado de transistores, lo que permite a los diseñadores de chips aumentar la densidad de los transistores sin sacrificar recursos para ofrecer más potencia y rendimiento que nunca.

Cómo lo estamos haciendo:

Intel 20A e Intel 18A introducirán tanto la tecnología de energía trasera PowerVia como la tecnología integral de puerta RibbonFET. Como forma completamente nueva de suministrar energía a los transistores, la implementación de energía trasera planteó nuevos desafíos para los diseños térmicos y de depuración.

Recomendado:  Velocidad del iPhone: cómo arreglar tu iPhone lento

Al desacoplar el desarrollo de PowerVia de RibbonFET, Intel podría superar esos desafíos rápidamente para garantizar la preparación para la implementación en silicio basado en los nodos de proceso 20A y 18A de Intel. Los ingenieros de Intel desarrollaron técnicas de mitigación para evitar que las térmicas se conviertan en un problema. La comunidad de depuración también desarrolló nuevas técnicas para garantizar que la nueva estructura de diseño pudiera depurarse adecuadamente. Como resultado, la implementación de la prueba arrojó métricas sólidas de rendimiento y confiabilidad, al tiempo que demostró la propuesta de valor intrínseco de la tecnología mucho antes de que se una a la nueva arquitectura RibbonFET.

La prueba también aprovechó las reglas de diseño habilitadas por la litografía EUV (ultravioleta extrema), que produjo resultados que incluyen una utilización celular estándar de más del 90 % en grandes áreas del troquel, lo que permitió una mayor densidad celular, lo que se puede esperar que reduzca los costos. La prueba también mostró una mejora de más del 30% en la caída de voltaje de la plataforma y un beneficio de frecuencia del 6%. Intel también logró características térmicas en el chip de prueba PowerVia en línea con las mayores densidades de potencia esperadas del escalado lógico.

Que sigue:

En un tercer documento que se presentará durante VLSI, el tecnólogo de Intel Mauro Kobrinsky explicará la investigación de Intel sobre métodos más avanzados para implementar PowerVia, como permitir tanto la señalización como la entrega de energía en la parte frontal o posterior de la oblea.

Llevar PowerVia a los clientes por delante de la industria y continuar innovando hacia el futuro está en consonancia con la larga historia de Intel de ser el primero en llevar al mercado nuevas innovaciones en semiconductores mientras innova constantemente.

Recomendado:  Cómo reparar el error Arbiter.dll no encontrado en Halo Infinite

ARTÍCULO RELACIONADO: Intel adopta un modelo de fundición interna

ⓒ 2023 . .