Todas las Noticias en Películas, Avances de Películas y Reseñas.

La investigación de Intel impulsa la ley de Moore y allana el camino hacia un billón de transistores para 2030

Intel dio a conocer avances en investigación que impulsan su línea de innovación para mantener la Ley de Moore en camino a un billón de transistores en un paquete en la próxima década. En Reunión internacional de dispositivos electrónicos IEEE (IEDM) 2022, los investigadores de Intel mostraron avances en la tecnología de empaquetado 3D con una nueva mejora de 10 veces en la densidad; materiales novedosos para el escalado de transistores 2D más allá de RibbonFET, incluido material súper delgado de solo 3 átomos de espesor; nuevas posibilidades en eficiencia energética y memoria para una informática de mayor rendimiento; y avances para la computación cuántica.

(Foto: Corporación Intel)

LEA TAMBIÉN: Intel amplía su liderazgo en dispositivos móviles y brinda un rendimiento entusiasta a las computadoras portátiles delgadas y livianas

Qué está pasando en el IEDM:

Para conmemorar el 75 aniversario del transistor, la Dra. Ann Kelleher, vicepresidenta ejecutiva y directora general de Desarrollo Tecnológico de Intel, dirigirá una sesión plenaria en IEDM. Kelleher describirá los caminos a seguir para la innovación continua de la industria, reuniendo el ecosistema en torno a una estrategia basada en sistemas para abordar la creciente demanda mundial de informática e innovar de manera más efectiva para avanzar al ritmo de la Ley de Moore. La sesión, “¡Celebrando los 75 años del transistor! Una mirada a la evolución de la innovación de la ley de Moore”, se llevará a cabo a las 9:45 am PST el lunes 5 de diciembre.

Por qué es importante:

La Ley de Moore es vital para abordar las insaciables necesidades informáticas del mundo, a medida que el creciente consumo de datos y el impulso hacia una mayor inteligencia artificial (IA) provocan la mayor aceleración de la demanda jamás vista.

Recomendado:  Vista previa de KB5003214 lanzada para Windows 10 2004, 20H2 y 21H1

La innovación continua es la piedra angular de la Ley de Moore. Muchos de los hitos clave de innovación para mejoras continuas de energía, rendimiento y costos durante las últimas dos décadas -incluido el silicio tensado, la puerta metálica Hi-K y FinFET- en computadoras personales, procesadores gráficos y centros de datos comenzaron con el Grupo de Investigación de Componentes de Intel. Actualmente se encuentran en la hoja de ruta más investigaciones, incluidos los transistores GAA (gate-all-around) RibbonFET, la tecnología de suministro de energía en la parte posterior PowerVia y avances en empaques como EMIB y Foveros Direct.

En IEDM 2022, el Grupo de Investigación de Componentes de Intel mostró su compromiso de innovar en tres áreas clave para continuar con la Ley de Moore: nueva tecnología de empaquetado de enlace híbrido 3D para permitir una integración perfecta de chiplets; materiales 2D súper delgados para colocar más transistores en un solo chip; y nuevas posibilidades en eficiencia energética y memoria para una informática de mayor rendimiento.

Cómo lo hacemos:

Los investigadores del Components Research Group han identificado nuevos materiales y procesos que desdibujan la línea entre el embalaje y el silicio. Revelamos los próximos pasos críticos en el camino hacia la extensión de la Ley de Moore a un billón de transistores en un paquete, incluido un empaquetado avanzado que puede lograr una densidad de interconexión adicional 10 veces mayor, lo que conducirá a chips cuasi monolíticos. Las innovaciones de materiales de Intel también han identificado opciones de diseño prácticas que pueden cumplir con los requisitos de escalado de transistores utilizando material novedoso de solo 3 átomos de espesor, lo que permite a la empresa continuar escalando más allá de RibbonFET.

Recomendado:  Cómo verlo: calendario del Gran Premio de Hungría y detalles de la transmisión en vivo para fanáticos de la F1

Intel presenta chips cuasi monolíticos para empaques 3D de próxima generación:

  • La última investigación sobre enlaces híbridos de Intel presentada en IEDM 2022 muestra una mejora adicional de 10 veces en la densidad de potencia y rendimiento con respecto a la presentación de investigación de Intel IEDM 2021.
  • El escalado continuo de enlaces híbridos a un paso de 3 um logra densidades de interconexión y anchos de banda similares a los que se encuentran en las conexiones monolíticas de sistema en chip.

Intel busca materiales ‘2D’ súper delgados para colocar más transistores en un solo chip:

  • Intel demostró una estructura de nanohojas apiladas de puerta completa utilizando material de canal 2D de solo 3 átomos de espesor, al tiempo que logró una conmutación casi ideal de transistores en una estructura de doble puerta a temperatura ambiente con baja corriente de fuga. Estos son dos avances clave necesarios para apilar transistores GAA y superar los límites fundamentales del silicio.
  • Los investigadores también revelaron el primer análisis exhaustivo de topologías de contactos eléctricos con materiales 2D que podría allanar aún más el camino para canales de transistores escalables y de alto rendimiento.

Intel ofrece nuevas posibilidades en eficiencia energética y memoria para una informática de mayor rendimiento:

  • Para utilizar el área del chip de manera más efectiva, Intel redefine el escalado desarrollando memoria que puede colocarse verticalmente sobre los transistores. Por primera vez en la industria, Intel demuestra condensadores ferroeléctricos apilados que igualan el rendimiento de los condensadores ferroeléctricos de trinchera convencionales y se pueden utilizar para construir FeRAM en una matriz lógica.
  • Un modelo a nivel de dispositivo, el primero en la industria, captura fases mixtas y defectos para dispositivos ferroeléctricos hafnia mejorados, lo que marca un progreso significativo para Intel en el respaldo de herramientas de la industria para desarrollar nuevas memorias y transistores ferroeléctricos.
  • Para acercar al mundo un paso más a la transición más allá de 5G y resolver los desafíos de la eficiencia energética, Intel está construyendo un camino viable hacia obleas de GaN sobre silicio de 300 milímetros. Los avances de Intel en esta área demuestran una ganancia 20 veces superior al GaN estándar de la industria y establecen una cifra de mérito récord en la industria para la entrega de energía de alto rendimiento.
  • Intel está logrando avances en tecnologías de súper eficiencia energética, específicamente transistores que no olvidan y retienen datos incluso cuando no hay energía. Los investigadores de Intel ya han roto dos de las tres barreras que impiden que la tecnología sea completamente viable y operativa a temperatura ambiente.
Recomendado:  Dimite el jefe de seguridad de TikTok

Intel continúa introduciendo nuevos conceptos en física con avances en la entrega de mejores qubits para la computación cuántica:

  • Los investigadores de Intel trabajan para encontrar mejores formas de almacenar información cuántica recopilando una mejor comprensión de varios defectos de la interfaz que podrían actuar como perturbaciones ambientales que afectan los datos cuánticos.

ARTÍCULO RELACIONADO: Intel incluye placas base en el aumento de precios para el cuarto trimestre de 2022

ⓒ 2023 . .